SK hynix Inc. ha anunciado que acaba de firmar un memorando de entendimiento con TSMC para colaborar en la producción de la próxima generación de HBM y mejorar la integración de la lógica y la HBM mediante una tecnología de embalaje avanzada. La empresa tiene previsto proceder al desarrollo de la HBM4, o sexta generación de la familia HBM, cuya producción en masa está prevista a partir de 2026, a través de esta iniciativa. SK hynix afirmó que la colaboración entre el líder mundial en el espacio de la memoria de IA y TSMC, una de las principales fundiciones lógicas del mundo, dará lugar a más innovaciones en la tecnología HBM.

También se espera que la colaboración permita avances en el rendimiento de la memoria a través de la colaboración trilateral entre el diseño del producto, la fundición y el proveedor de memoria. Las dos empresas se centrarán primero en mejorar el rendimiento del troquel base que se monta en la parte inferior del encapsulado HBM. El HBM se fabrica apilando un troquel DRAM central sobre un troquel base con tecnología TSV y conectando verticalmente un número fijo de capas de la pila DRAM al troquel central con TSV en un encapsulado HBM.

El troquel base situado en la parte inferior está conectado a la GPU, que controla el HBM.TSV (Through Silicon Via): Tecnología de interconexión que une los chips superior e inferior con un electrodo que atraviesa verticalmente el chip lógico base y los chips DRAM. Puede haber miles de TSV de paso dependiendo del diseño del chip. SK hynix ha utilizado una tecnología propia para fabricar troqueles base hasta HBM3E, pero tiene previsto adoptar el proceso lógico avanzado de TSMC para el troquel base de HBM4, de modo que pueda empaquetarse funcionalidad adicional en un espacio limitado.

Esto también ayuda a SK hynix a producir HBM personalizadas que satisfagan una amplia gama de demandas de los clientes en cuanto a rendimiento y eficiencia energética. SK hynix y TSMC también acordaron colaborar para optimizar la integración de la HBM de SK hynix y la tecnología CoWoS®? de TSMC, al tiempo que cooperan para responder a las peticiones comunes de los clientes relacionadas con la HBM.

CoWoS (Chip on Wafer on Substrate): Un proceso de empaquetado propio de TSMC que conecta la GPU/xPU, un chip lógico y HBM, en un sustrato especial denominado interposer. También se denomina empaquetado 2,5D, ya que el chip lógico y la HBM apilada verticalmente (3D) se integran en un módulo que se coloca sobre un sustrato de empaquetado horizontal (2D).